From 4f4553cb90cff960b8fd3cb00dc129f50efea452 Mon Sep 17 00:00:00 2001 From: Erez Zukerman Date: Wed, 16 Dec 2015 19:03:30 +0200 Subject: [PATCH] A compiled version which sends left click in mouse mode --- keyboard/ergodox_ez/ergodox_ez.hex | Bin 0 -> 65922 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 keyboard/ergodox_ez/ergodox_ez.hex diff --git a/keyboard/ergodox_ez/ergodox_ez.hex b/keyboard/ergodox_ez/ergodox_ez.hex new file mode 100644 index 0000000000000000000000000000000000000000..24af1c835d1afcf9fa84cb1a745b68023febc98b GIT binary patch literal 65922 zcma)_S$6C?4rTvqt(uFakfN!-Xy-qq+WQcY6=D0nbmo20Q6vb0Aeuw+|4m=@cfTyW zJALuGEI(h*=l}bE{-1^Oz#oPB;lomeXDk1u@cf!)zyF%1!*Q*`hd&DM$(g!liQU)y zxXicxXCGQ_RrC5$`1v(YpM?+4Dt!DXyl=iAdNvuS|Do`s3!ndo!uKwG`5y}3y72WM zg^$PSa6V6mQ*+}+;nQJS@+GhL>vVWND8Tz=o|bi;mQ&Y!r||VKo&5Kh#=mKq&g&Qd zrs?Xx^IWWZQ22PRA70aC<==c8g)De@P1na%g`d*Q-|Oo-&3ssBYNjJkBq+f11t%E~{h^Py^W`)>Y7U0PSuWTiIl#-xg9{JL(!s;0E*yD=bqC(8l$?2J zmOFL^at`!mEk37TGhZ^9zGi-yAmwm4&xbSoru^cly{dz@KGXbxS{;lhP2Z)(KVSX6 zX1DCzz8p$~A7LS*Do1DjGvDr2v#i}}kP9ygO#C}AkX7^bRE7Ed>)_~F=*YkDcvNtZ zJbwD>;g+p*OcsB)Aa|(!0R8-%UtKc~kH2cN>E6FiU9+5N+VYeS`6m}3$pPA=P7*U1 z%aBY9DFTjaq>$QL$v941%?kw`;z%L2IC^RIF}UHwNIV4?VteV+j$~T!GabCv9JG=z z=HBcGItNn-5+cmj#J}62YTj7J_i3^UZ14H{R#Im_elN6p=z6T071@`x?0)pUTzb`a z_b#kFzpQ>&_$6&VU`@PUn;Uj~Uoh`KzB|=$J2A$eHT$<~p+6sMcF&RL&qDn`eZMw0 z_VV1dUag@Y;Pp}qkW+7T0$IKCKRG&>$%O|v64Gt+orJ{%6qVLcpKP|kYdDI8$`7jn9Xc;>JNbQp1yGt#d|=GoOwxNLZ-X(`Ed~ zBg+xF9S=ftX;JkEKSA3ACcrW{B4^H{%kpBGpQY#Y6 z@MpHK4C8Wx){6|I|MM{%vEvZ8^z5d+%<9y!o_uV*t%rJ@$Lp=8)j?^5uoPnWcx4Ga zs0dDQ^~gjzzNRuE)Y~cUmlU8OId9>0{KUgc4@%_uqbA$hKYXlpP(A)p6MFH$g3P+g z(gj&x>!WM-lk$80dR#}dDs~^|uV1R25Ml`5P`TwrVhpc`4U78H_H}cG~?i)#Q{3Qhu>Bp~Kvz4U(GI*j1lrmrHck6;>d33U7E2vMGdySynw`N~EWO=7Y9LKJfXx z)og#BkJs}{iy@s111AWX;PUZG*SEsa&nrbQtU{OPNz;ZE3e)lHeA32*`5wu>p^V>Gk@$IE|$D zv<{M9uU0Y|Szi}456xjh)49v(*oFH0ONppPtz>9jLgCj19YDR9&ok2t?###AY3{<2 zXKh)3xZYq6&C^!+pi#Ge-FrEXB?dpIs_)SCUGCJ~RrCld@BZ+kK8FN*K+=|Co!X0m z|YsCg?y-|)Lpt%e#bzdm5QUn$<9B|2&n$Ir#Ux$aRFJo$} zud6sdwwje^pQkp>DBD0P-XFh~h#n))()Je#w0df8sGr|S7?kc?y7!oWY8K&KTeIW9D#LF($W!YyC-n-Ns9voT9;XNwP|Lz__RSUgVl!Bxz|H9$H z)I(W}Su_9UaL8GvV%^qlk)sAC_4@hrXFihPRjF7 zwhfIz_rlbv79gh@BiMC+&qU@ea;-GO>$1#GCC{j;-$lts+G{(7ccp4=20!Jy#-Z<+ z4r91AYk$bI#rb2PhDw-gTAh?<{2pujRigdnQOf+JJoC5Jf`h=%?;M}I@X*i4P0M%F z_!T}Bo?p6fq0i~v#iZPK2*gVE3Txde#9h$w}#K(yLjY7U<5iM*f~Vyg8_tsm=3OWj#{W^P@b zdlVhBx#wOjAH8;;mFHedcmxIT{)jEL4;%0BvsqgrTw$#7azb*p!o%KhNkB4olKgdb zs!k7;U1?GY~=ep$ew7ql(mFMNYQ|3e9nW*sI{}R+WmC9v=qWPH(dvRwl zHVHps`V9SyF9o0BEu_57m$!-4g&mb;X9TLQ#z2R8I3o;Ac@r1q87`kwyNWSCqZ;fq z5g;bpxnnXH<(ax}KML<+G##?Q2Sbnu*zmyP#{<=mYc2E_^)trZ^)$r(2&2?+7g?te zSi#(bqC6uP27wk$N?I6GcE{W zJ+F&q^6DB%%4iHqyv9Ml`VSrIyhcA~9Z?!;t$yMp;BFsZE_jGTt=)8izg;ov z!KEj3qNAflO$e@o)z|1}l`ApEeF5f?02-|uywAZ0ooAEo&d#?=_MNa+xi?4bTN`!5JNZK; zT+1rBMV@yt++T`6+OE4P&oo}T=a-_GDW{T+Tl8~VFg)09Ly&H!lzg`EOt=nY$FTHSA5 z^U%+u;lCc4$||@;KS#^anIUBn_^q|`@zoB(E%J;qr^%m0sQbtltQBUFWES&b<#%n+ zKWy|`5!&oYe%9pyW}$3Rf^d#C8|I2=bCz#JGqeKf*r%+(qt&l z6nRnfK|blk1JKX=*Q!yxE;mBdwb0+y&nuP^2E=(?AY$_8d~+D>EU#BBKzHTY6@=pc z_(=Uv*OQh)-j!m!$KF_3{aD6$3|2$PeTn3e>cUE3{i!wO9(l%SKFH}a522-=h#8OP(UH#4rlY#Koi&|d>a60pN zS2*bXb%rBk0!B@Fm=;V+oiOpcgUa(~P20n?wK|c@o46~_& zTH1cTE6+Gd5B}W@w|z=A-(bfNL6Qn1fin)M%kXgaj&zKCb(7a7@gw>gaXa0T`i$>ymW@g6<9D8l;^Er*N3DH1wSh2rR||SYe=T9k3vm( zn-Gt9HMkzXS#=4aI;tf|5358L%7d3pV^yVA7E(+&3QVf33nJmv86YKK0 z-cPr~<#9G=^jI)DjUP;>t+4Vea*5_daKwr8tNma5-BxVAY?)rS=l%73(e&5jd3)U- z=y@`^L`7J?hVYAi0tIp>6?mCmq<1d9lFmV}d0tG@Wm-#+;&8q0q+c-iNs->J!~kx* z-Y)20W`Y&8VibO4nrF7Qyi!|UsQ?}MJTJFXC+42YGrfQD8sH_EHu=3?hzgV54iN$HYPcPVYAT#+|juguGNZ+|eFesu0Ix$Yjg1-$TAI^96#E5rp0g|FCI}kVb!t8_F|Zp5_ZF!NY-;0aYkaXHX><EmvGaZF{d@=$r0-eYPs`8Aox$y7ndIw@c%&5swo)@$bHHbVQ zd-|MYB?~;!AtZ3Tv zSoqRpD9;u?p80V?74!b?zsK!_g2cWjHd)>TfCARy!vh6h`{|i4})r;FqC7+W>(V!<(OO} z4_@>Bcp+j{WT@1rDL>F5fCW#=0Jil(bsjyaK`|N6AGJx_U*wdMnWD%;n}ZyVke)}n z)cl5!(M$++k)b>r(9MrXl|LGW&1ZExG?&ydU=*hLYj+B6TY$Go|3OCM|Cm|Q;%}3bhdxn?1>bWr6 zpv3uczF*Ev;q&==LwjM>UT?_d<-9=m`Np!;+=zb0w&hDOA@m%Xz=%Je0c-RCm99fx zsCgT$h1MkrB`z8M#OpK<=TUV{s54Uvfp^iM<~y=;W=-?~e=CQV0{p?t*BMwh>|+xA zWM8Ezoj>D`reQ9%N^g_E&j8iP4UORrWY|LJ-uFVr>FfJK&w{+7;5X(+j82^JXjGWZk>4^1QEkvSuBUUClkane+Ke&FYGMA%4mCk70{~Z?`NU zhaYrOut_QxZ(@W(h8&DG(k3#FaYnOB-i-nkvY3P*@e2$4@+5Z0k5IyE`l?0ny5F%> zi_hxk{K!+6GiVunELFk42~`EU0Nt)^%Ph+6{flTD7}1f z#zjrdgaIlshmk?eSP!I7hEk+vg6@O%uDQ^L8fi9-4yPkSmMQo_PJv4!_8VcAE7rkpQr(Xa=l4pSL%x$VJ840GtMKfE zR^|^I4y_bf_?!gFO(o_q_O02acPEn8*g}L|&Ax~++~T=uKE^f*8YScV^>&HEA*P_h zDYIu1*Nw`hkUyYk4r(G4yB)N4T!3@0DFJ?WXZ#WFQSx>;6ZzovcAf}B4trw?{`pMw zlP_^pu+SNV>vI_12=J`oT@X<5ce0L!l|UPo3Y$ZalgpEZ?t39R4y#~t14dRQ%-Ya- zd11oy@5VpYa}u_MCx)>-1^>*_Gh-@XZvh}Ht3c~OsiUgtSjH=3;5ZvMWYv4SMUM(ce~9Cp5_8cq|Z^dcbq>yKSwxg3fl64f}2_7F+g!A z@UM8i68hq;(Z3hu9aDIoDRkvMrto~=J+tczEY>p2 zOTu#$CgO%Sh^vv{lymvnH$}Tev_9T67&AlO6@G zU(FOH7yA5>NrmSeYY~RK;@*N|x>w*}z^wtj91@`-4dc zId}oGr1%{CGj0bu?mT9*a9C@c{0`Ipgn-pd!JLM5xK?fsb5-3>uP$6$PNv|WQ&=5s zr}!Vb1G&OK;Z>bdKp-}{QFGCXEHU`Ie_eA6fAG&688C8Bh5<)gs%$B1OVzBZ#TUc_ zS4@`I9m|JkC5YM=uJ+LUpiGcD9LJ_tPTaD_ziA_67y?+E`mIxOR*i#)F=6 zELAEiTQvA*h5d>mH*!MAh3$8WCr;S|Cye(~iD>Z8&pQ!t1S5(ZJ=2Pu&*4_4y8N1?iOcv){R zmO#Wbwq37My)d7yx?9lZ6_ga{RvkEF*yf~i-PLvTK#~9 zhiBQM!9Vv*!N|r2`0kkUea2bu2}}42C4=VgioIk* zm@I=_Kcd&JEEh-A8KH}3JwV222og;YC`0gRa{H02mf(5})%C@CeQD#wO*E`dBwDK3 z70m=D9TK3wNUNaJ#PZG`&978-2Jn%l{fuu#W4PcLEoE;6|D0N?=Xp>iNtUS}Y%@Lz zX*KXc9J_yHd196N{@f!N{PPNX&P2?&!Y&iQRr>R*^mFjfXf|1u!H|pvi9Unj*b0~W z3jTRXRO_$YlGwz{PQB)mhT@I*|vUvZjYXj zC^b8R$L}9CN{FFvHP8OS$7S$&`&_%QjUQ2X@VS3v0`u?{ZF)rSG3;$Z z1pll)%N$f5rZL1B)p@+H9ME)({cIuB1M6#Q!r15PC8G=BIBxGT-kTe(WP*RD z*6jyW*!TN&yq`)HI3|M(keOW*efWOAQ|7@xcZZ~%2gto$(D+yf_-XvMK1&q@|4gLS zb@lPW^|Js83xb2wgCHVqGb?$m-Lb!)_mwy(%LJii)~GBMn#Hla*bLXkHclDbU_I;X z!7qh@R}Cc-{4+BNCmqGTt9h1oLdVj6D`g)1vve}?z$^Yvdq+-!f9{Sn?S$j7?^!_2 zHeuA(21$^ZvKikPQV0`aohO@gSyK>W+20DCg#|o1h!;5ul0?Y*2e=BWe>_wWeNGcd zVK#YMJ4q4zywq+890kdgxvXv1os?&C3I@k`0<#o)T#!y|b27Tk5CMxV)+x^mp&(-K zs9r4y%I@qVW23!j4*WC72KJYt!ba_kC#nNKwp_hR7)`-HyO_6icqX!>i57#kI@o?n z@Xzc}V^)t2y6Cupi6{oLF|%laT`R>Xo3V5FvKApSU<)xKELyNmtGm@%kjm^aKpDqN z38NHq<8`{+k7pk^;!$APzD{M=Wgij8IlPXQPXQ`$G7oTGa28fv5;|F%EQlviv)K(2 zBP4*RnHTyC5{;~qdK)ACR(uZrSv`z2Vm-{nkEH*INrke48|Z1)gfS?=KU|s+wiA6L`t;&w7qd; zy*^oh*oOt+5PSyD!ux{E5mBLL#8QCO)~pNtkyRIm1Y;KKtJZEgN5@|{;Guc^IBL!N z#A<3yK`d}usA)cqTA1AvAlDQ`UdS+h3qPKgVI*84ra$P|46*a^iQCTLJ)9|(!X^|W z8ER)`7Xw;Ji%IeQ02b=tMv}xP4_Q?S1vrg-sA`UXfGbrKHu&f5N7Qt(O0||Bv_lT) z*b;v1U^PFvwz$*ZHNG(5v!3(Yt2F<>KgXb|f_ScmU3RTK3LB4GP0deJsCW*x(6E7U zg9l%$UQ2b*Q8^z+!OZ6F9C>O3A#@ysR}~)m83%DsGX=w;b#SN-av}Ic&8}-3jmN4{ zvj?&;B~j^BbL@?7nu4iu8Z{cqaCDEQWP*QosP8vm1kPp^3lC$6WThqEacU!w4a87E z`qU^q78?$Yjvg~uAKBml;#)~uvusEmbXhALd(Z#o_IT-viaW1|@@-PO|0<;<9Crz5qy-a|Sf(&FA?VnU-3Nx%kmR<)2 zC4K3dmFE=33eZ~-??CMJVMNoO~F3{!!nA7BS~RMvhZxDT^rGCotp^$Sw#qM z54JF?j|nh<Ke9q95mqLJa(~W_$mr4Ml=r`^?Uo z!uD{E5FNC(06COAhSw2=df9exR39bJ=x_=%#4LY~Tn0jWS{do@HkutmM=B9{butdF zt~5%GxDzpGeAoijOdhWxJcqS!@Dv!M(nN0Dp+}G3&W!7jXSznM*CZzf3CWs{!C_Og zBl)PqIyn1?!df@1t>kn^_|UIdOBklXl@3S}?Sf`l0zZ??H+D~epV$#=Hm?{t4N#ea ze}>4Tv&EBg_WW&@b|f(Kbsz6)-&@u-XKuB^2LHTg(bT0yrGtNNfp1oQa;K9o!9Rbp>XSQ_{Rh@U$serx;7(_1f`3j;h+?zqlRG^qZpk-> z6z#K@56y~n97$}e!1Q0F&RlFySaY$?Lpypv4C?rxDfnmn;)Im#s7Em<6VXc41lGCX z!Bg_(G`{6IlHn|+hP>}W=+zmB44?snYVC!d;gj?(5#GI|NPMuf#SqL>SuTwS8qQ%ddO$u26bW^N}0cn z6A&aP3{JL6bLO|<8vOG=$jH3x@NDqU|02WqX5pVF(iA%~2-|OybT=mpj5h`U{5dG7 zuL+Hdo_LZ3bQX<4xhEoN2ASX+hJGyb{iJ1zkpry4u{W4=%0l}q!j#CRkzZAsj3MpnCb`RDz znJM^ZJ+u39M!CKhlC&$+;aD08V?dfHe8|<>`oodH zs}F(b6h;SV;{MfZ%3XQRl1stVR04lWzGAEfy|e}Y>j!`n!7wvwuKh0_Y91Gh+ zIGaCKRqUad5!yvWwr|8azGq6=qFE&}5cJfvgHo2y464cUB)V$C2LGHNd#PY;vJWoF zF|Ht|aRtFYN9w56aOw8oPBqk#M^o_6+C?tCb}pQ;a)#NE^pS41^BDZI^G)88OXSd} zQ2+~f`|i>-E6-bjl81VT9X8LqTxtA9@XxzirxY++%8>hzeduU>@Xx4BoY|-@pncj# zc=Wi|AQ|Gr){bV5({oU8kIoJkogzM(&m=UAjpqHm?2X``k9zQ04JgG68i+G2!$Nq? z^xC%N0vQ-Jvo&$YW6`v-(t(2J+D$nH{|w~N%pIOOHDO)~_Fyrm;P8-p0m7Bxk0Itq z(l~@E;CXBQBa5{)Uu(h!|D5J-yQR&J+R~tTZg(m8XFE31eiYb|M2|T{2O%!6?Gbs# zxAuW!{Hro~cAcz!nhM3}aY&h2`%3btq_U28cI1r-8~n2v+oI9egZ93kyDzPBgMUtB z`@2KrYTnM;QirpGe^&U}a~Td`NBDZMc_)A|u%0PC*lbfAu>*hB zUO4kOJ+TVjYUe=K@LSUoIcd8Nhw`mvVZbs;t zctGy(A;6kejFJ8SS~Lrk?lhz37k+o)(;jZD#;LL9^Np3u7Rtp7{yAqMp*5Y^{&+o) zLN{V|Xj3*njhTWdKo?Dxu^AcKAkWYMg>alB(IqmPXlF$alB zqDhG@)@ROgtHR22@+l820!D5Il|-y5ITb2MIIEK{=5lE!p{x9Wy5dM%R@_}+wewa$ z+Nrsg158(u>#&S?C^Lp_l&B;8tFku+{uz~pM||}M*KrB~YDSoFP9?2lUnnjH1zU(C z{7CdUMAUd33FZ#Gf0cKPCS-)gyOU@(p?+Z65{J=BU^y5Sv~gli0+WG_a#b5Tcw%Gk z3nl*31*OqfpfScMprg7R4Ut=H3AW&wvn{(_)h+w34ycp25rITe8(%} z-bkmh)x{ko&f2sM?feSfNVh_pb#g~HJG#{Vux%nlO+Qd-+{h}(juqCt`|@kWihIg z3*G>;C~S0Ov*+Z*PT%3`aqL`mP_qu9G@tQvSir1 zZDD32147T-g@G2K`9!C%d)+==8$*nv!5TJ*6RgIR96L$e(XctZaHcj55s{-XKV)$< z42QcsG1o{OX=aXx3pf!3sCy2g+oBN7T&QR?*PN5QFO7tkEg5BRI9edRABVj~!`v!ACgh9|GmcBxa-JH0B@$*J2ECXaIK&an2Hbfze1 zG~?)u;HhQ`<2AV9=(#wNlxFcMMn(X*Sug%MpN_R(+hQGRzo$`O_~`H=4=A7uQ%U=Fy&4g-NfpUDlkyYSCo$Lt(}T)wWb9BOzw9S;D_xYHyPDK1#g&xe}+Eg zW~i}2QjVFGUMvHa>mnoajGJ#(2LAwc#5_ceA}=Gv%z)Ki4+Hi5jU~8V>8P$9zD)aB z<^HpSpR$?$y8qDPg0)FuIcBv1$6)3V(F`ra{lc~zEe*jx<5ZUGF^*g}&tq-{TT z|G=RZAm!+7-P;NwG&*SdQ{6#~Le@b|D1Qk1P`OOhd}hR08+tDuITQ-_kCK6evv(h%asv-(*upm;^0i35aS$c&V6eOCx} zdM=;Y|0&d#G~FHiGQkEO#3;n>U_fysM|=ZFw1usa9fWyc7u3VV2@1b9uzPISw?Xwu z>m5==wpYjk{FXIi#e@_s7vFQrTCAAyJx)>aS$Xyc>D6;{6n#}%^NM9$r|8eYKj%yH zfp7)SgcP8b4K0{EqT>}~G4_XgRXFamG!J$`UYREL^FyCnw5wB@b&=Vf@H|+G!nB^ zl-Rw|~x7n_Zc0SaZA#=`u zj=G}RthAjNhfVp6!mI!eE1;w~-7OqhphoFss4<<9an{J1R>42psYF}L7>u8SqICb1 zg)29~b`2^?;jjuPCJ!3W8x^?Sptg&ek@w4Y_XuYS_LoP5GOx7zoMXjl%4H!Dd8D75 zAGPf!L!BEB=!u%`3=);jq@&WSduPYtN`b^YN2@wlp*MdVG7VRJ&jXbo%xawBW|C?n zZ3PBLJhGS3tVJ{UXMd2!&igd|^gg6rYPeB;knDiqsv5&;I{j=)M^4qLFCOJX!JSw6 z#y04+)jAV(&iT*G489v)WoovisIxKjwN;ICTf&XOqOCx2A>2Sk6v~2g>qg;?3u+?G zVOB)?!6+bgTg}RInud5o^~MTf(P&yS)RK3uHHF9@Qcyz;YraPjJDP(xM@3~&1^*n{ z{IN&T4tB&<*&Z2$IrwK+C7ZK_OjhYuE_?{lJv157&lDU2R9q?9ZrPa2ex~7}3ih(` zsY%z!UB4iSm;>~2$a!;2DzB&$3w-&sXM4VTLaCBAb!xd8-U;CqD)IZ$7cF@d$? zsKq!H(m*SucXX%G3B55y-<|2V0%cdhysnF!m_)V=>w#HbNL0=vqOcaFt`b&M zrjuzBbV#O}L;q+&Ql2y4pogf>EeJ_?*`ukS*jB;7KPx;~GBJS-0Ah3-2g_%5U9H_2 z6V6SgQw+CUaF#Qxt=U%`G^iWGAN(_j$k!QPMY@6P&ZA1m5mktgkV+dJjksTfny6m$Yk2pUkipe^Egk8dOs0rI6M)z=P z9GaTl!9Q!6XE|;~$Y)7F?P3VvzLg_Z_-C80)yfAEB8QwFLYOhI;zsl{)$X<}9?WiJ zP--1-5e@!1WYm1~eUDy77Ty<@SlBYns*4#`z2hr=*PiUHk>IPW(ofJyps*ZlC%O4RZdg3RwnG+e2zXdxy26w0f7Z%izWu(fOL^< zgrYGhc8l4{;aQBB$jKGkMM!t7ALw^iQ7^~AKeG{rDbMdHTrh@&w>g6sPuW*?=@MbB znzc8S12!o$7<7hHx0DAICHt1x1joO%blt!|Qwx^#L{gt?g|MAvWetd|YzHCuXMZ@- zu?^@^5F_)j#&~-KgMS9k#cIW|izpZm7I7j(oe|oA$Wvu%IE_tq7_EfPb{4r`0Zle$ zJLV|4`RZWgnFt66QKU9>;TV2tuB>mJ?mi<6$PfP+(QWLIU$T#N(F223g~NeiI=sXD zh`7~F7GP2+97#(Pm9=wrGZE0wQDL>^WX}1|>Ig8848+ewq9j&YN0s6T(sO6iUic-6 z$`flW#hCM-L$9LS)|V!lGG5kKhm-;6WYJ8m!^ z>bwG=n2^!Ubqj3X;n*ECJZV(Idym>)$2M@e!6qcx=avl}MlKv=m|-206)?rQ7fp77 zQ&x)1J< zH{UIGU_o$>vAH2c7@KUp-n*`sz$)PGXr2W#0JbO+0lU zHt{@q-TCBtYj5Ku=Radub5sW_EI$~J`INwpZv_85Y<>@~sM*r9 z#BJwPQgBKx7jU?1mYnY>8Fd96M7GHUjl66-yum;B2I|k*y*UoGv<3eR&i+>~A}um9 z1zY7T5oN;@karuaq02P`HO6*IAT@OkRn8X)&6qTtT)}FJMlvBv{+gv5n}$XDRwhL7 z&wPh1f?~saKr^#@i^G-7!vb%f0A;i*9sIMjT?I`LMBpga7pF*a+zO@-%k;`JU1$7q z^gc9mRunLc*ZYTEGC|?5VS1111I0*2fGQtO~22 z&2G3c3#>2-5w(Ts2F9IJ?h#d#Oh@Af{@IKOLu_CHW{sFOrxvm=1390;XI9PH8^J%o zR$VVq?Ad`vYgk@575u9;CHQCEIgu4wG}4L{68f7%oqP%YIqbTgafG>oLoG|}5)&3+ z9wro>t{tFUwICUW1klTPZH&j*sSgP#cq}>nx*kDRVn&~>*^DB)jDj=4>tRnE`3Y)$ z90_@KVdYt~9or@oR&XgpdGdskj4Ypz70wF&nHj>(BRDmj3HF=ATW4p1U7_aRbTboT zOPW6`!;8PnpUULPG0K0`T-bQV^?#_j6=U$vsw(w^-h0ox{Z#9OQSi@u%LOiTHS?J* zn0P1-6w=s!cks`#>shhbY2AXs?s%O#KIi=B=-`3~+_e<(@ZIy;!`+K!@Xvx! zZZ7cb6N~V8JO-rPuvh%9O|{^kb6OPVN@8elwV}{C|CI_3Y}YN42V z9IjQ0iHN0JJS$pXBu8*q{zV)p_+c(QvH<;QY)|md z!lI}(KbZ68f$`o+%-U5u=2$(88xU%TMj^T3$pX~sve%(b5^s<}XQaDL9@wl_jVEM+ zpo1Y+Ob_wsZSq68Fzg)hvF%zV32DuLD3{hJ1(sGb-~nl|Foj&Hv=%d(r8}ILYt3#W zLRrG1H+IU!b*6PmYoy?xKNJg)&v0YYCC9P~f`68T)S_b13`DWup}`L(#2Ko}a1H($ zw&}j*Ttkk|U06++ibFMcK2>cO1=S-rN>5-$L>rw66y+FL)Pt4O9t4d%*o>~gbBtH% z;Mln_%dx${#C1a7Q0u{=*w`kV=ge6*@R?ELoHw$LNTD;kMzLu&9-W!O zKs`VVdAKT<15kHGt?+*c?o`dnv%I{Z!&u~n3S@b>Xnx7#2b#UOK?n(C2$le+?!FMG zL;)$q|3(;}nlbIGX7#hCq=RB?pA!&Z1V?A_Dn`*E?*Kbix2(e>pjHkUC&UVdl!eD2 zsuP*Z$_S8E&B+fE)28-8D`F+BP6k_Kn>l_-XeA!9T>Mhjt*=Ny87pMp$Z?v4n*ay0)O@=k1UCRGi;5lm!LlP6O!dZxmf4KM$ zy?WIQy*M&|P*0m|)WH|zge**LpH~HNSSGg&8x}wnj4a-Pqzm>2U*PnLzho;j4^pc& z>GkTIvD&jF^T-3{mewUoE{!RNaz+c#6op- z)BS-(T4OugHa4a5xh~crY+j50-+p(+au2~jt0{pkJwHik(XB0kY=EHV&t^Z>SGh|l zP7D90zV57;h^Q@AjrjDGi11bk>S1ARz^%~g1=v6crUiKOpHTqf0N<8*jAL7 z<%^2V+Ix1-UWWH#TX$1>uLFO-$nailD`DiNOpyGG4DZFZj^8{46!#|ipQc2e1;@p} z7S`%On6M~^c}DYlv8^L;50yd~d+vUV%edau+U>zj{QY6UU{R1g<-VNmpz_S(NNfTT zC3~zl;nrMi6^stPiw8cGOz_Vv=5fS0)+z-?nhPTu)vF;`I|Ya%Q*+rxS?EziSxcUz zr#01NWt|p9JUul3yMtdNE{c*%;onD)Go;Jn9W)PZ4qv;;U*)9ijZBq)jh+KmShc`8 zGI|^khKb82B09L4JDL%g6g?=xKeICZsf}#4P89$fNC*GR7Hwso^PfpfCN!(1W%x?y zct}F7B%>gpT%1D9|3yY6K#9Bk4`dXEip?z)c4%!Db{~4^_cNy8I`}UX{!5NqbWbIs z!9V}7#A(8o5Q22D95L^4`0oxDq}lV$|C`T+f^**_G!ri0cj&VZg{*dJ{CCYQNSdO= z)Y{_Zl+{=?wpiOYz|LFxKXhFsGVo4P`1hIObuPBl_D1l}PWgSapDooMu|x3B z|E23Hh{^?ncCd7vUfU8AZ6pYAd$~dnRZyl{;h+Dl3M%Vy2>!VR=2J$VH?Dr7r8@eL zdl@7HUHh*QED!?Ora|++{lW4kf`10Yar;-z1=l$Q|NPI{O$6mx8i^&IKg}Csue^GP zpRanK`OMXJ6*fEs|Lm6<)$PRiIRP9jN1d8F+c5i7VF!kxK^qF4ZGq32Ou2Z)7(#nM z!9TMs#sU|97C(!Og}xPMNVCa;Z$|uioL=t_grHgPF>*ysu>AWS!6^nDY|jXWknE*@ z+%A_N-L$7eZ9%sr4Hyadwyqfpks78vOISxwj3be%-SB}0Z{T)xS!XngUi%8qld*9c zXDXZJE&Dn6=Z+g8P%fZv|J2WqT7ZIob_9Q`)taqn>tO6>kHg~XzR&5@GpJ`u&VTNj zS=7HnS_~m6OTrc8g_>sN*#t9D83NWGq7TxL&JwlOf#=LsEdO#nf`8`AaU|1ZOP$rdUPdaMDt8-xWtX1pmxg2`pv<(_;ZcE4q04hn{m$*(Edc zgB#Y~M)ykS+eJdwc>!RXJ;6WAHffXAkOQqD$GxC@UqPoVuwT-sjgBJL?8yYUVYl2F z5I`H;Qa|jC;Gfl^2Bje~XbZsN1Fmza=3VZ2YrI^GO@gpppT1lTj%pzchW)$0b z4E~v`E&TF*6wR+<8;i!Ny-VCeX3Y#Q8S#U0XYM#95lgs?n#p-_;Q5)%N71Z)<}>MS zx57dvW5>iaczNTy8@JHjYe(}T_-C!~JBDD`<)APijURQ8dRpcDXLFg1S~|n&Z{PH< zLkim}2>v-6aJJfrV450{Lue>Aot_Fk%O&p6oSA~kBA>(MSCyegL~oum%KU7Pemnn} zFWV(;GJ5yotau4 z4=V(FY(P{j9>*>Qt%&Yp&^;)@KgY49exMp&)2i=z51_59 zaFmvsh&-xm2$v0hPuN;EDqDI8{+SCYJw70i5%bfF?->k?I*uIUVC>TT!9V*0y*!$) z$~q$7aWy3aHUaQ0GL+}$DfRf?%diMpj*&FUSljvIres(}tz;A#W|Fgnfw7y-I+G{+>A$h-aVUKVSYoj5HDhvr^+3JP@r&* ztMoQ_h8$V;XpL##j}C(e|NL901R$6%(*mwTQ9xEubE{UbVdz;y%Kb#%7BvWVs7#2$ zKl8;!bU?C9KPEz5(v%wyzn&=X>`y`3Ncn1zjPv(uD@w0}!9P2#jM+Y%_Ds?TO5D*D z$>3;K?)VV=a}TYZY5MoA^+J!BFkwHMzLQz+(F8s~HoS&gyKq0WpQ8z+z3*K*1pgcn zjh<(C9m$cH3#bjE5ss=k`kAOS)06v4pxMM?EorWg>Ww;RE1op=@za|Toh?~IdfD(H zF3z}Cj-G31pcyI0`X1_4to2@_(%0{rwKt6V{Y#T}QUG;Klo(Cfta6fGu*KA*=B}bi zOK$}KEShnfw5TmX2swTLlg$xtM~t|)o(9G$y>=wsNX;u_f$HFUckOS5wV!kEl6f{Q z1JbGeP!E5l&kjsDXvR!vp8m$hHmHJs29tcs(PK3TpAXdDCDb4__aGe6Li@SrbaP;O z9Sr_iWuoq&SK=Wu#QWL{C&O{L)Vzmp^$`1-N%3GuMa@A*ld_-+bMZ&5ta}A1N7{bg z&VQC89t71h8l?6%iR-wPL(YH3=ezEw(dW!5fOmYe=nd&J=&~tsoP*{}ofef~4~Uha zYQv`DOtd~4lFSRn$e1a?KX(oLhjd5V11&U**ReBUk%_pYZ!eQ|r zkXHgY086nTk9Aogs3vOuVNQNTjqBuMJQDh4+Rl_pbghTx-iV zC*@LD_wzSM;)TUWEmipEL-5b6w?k!dW2SOVBpKd=gD8M$Ck29k=8R|VhaI>Fp$>y^E`M@*h_b`6mPR2+B@?dS@#ss#@51NC2 z_QpSNWI;iS24Mdu$F?H{EcMG3bFF0~_~)E(CYxM*YF!BcS^i^S)ltKToc}DnPF8&@ zqYf%|Nz-UaU&`#ks)1$zzXI{Vx`<%V(%_cg^>iV~U?qiBJFrHYc^m?y1*6Ymt>o@v zZu(#$t8@J;k?h|?6&;qUU}QL{}c6W_FE0sZE6nZ&_A+hd!46pY!UAPrR6IbRFa znLAiBDF0H7b$n26r6nYxmVn29glXH;4E|X^mGMn1i3lckJdwSfTiPj-#y@*M0y2eU zpt3+%kZ_qvVGpc%9R<(v4`BxOK0{3;3m(ho18x+0&PXp-LI&xRG;evpA zxp0RIz-5T-i_IOgdz}CL$0db#;xpta`@Z8t@XsLfz*@m3YGehZWrm-Hr!gF@H-dkb zJ<$D7k=yL&Z3S)iuvGdt{)<*lvzQ9N(nl0f@vXaq37Akcvh3=IR2Y$m>A?h|=TM70 zSZST$pAll#5#+#Ep(nnI5vn+J9qdUMR4Qs#ASFmcCMf`;;nVtXK@-QVo(4Zs6DML% zcv3T)_YUr(C^9-}8~iiVJ!D`OhYTJL8Czd!wF>^ZY5R+up0GLpS#LtxcH{t(038Y6 zAqU@e40rI)P1|4O+$zix{BzUx7dahL4*r=M-&nOH=L9*Yw(Ej_hBl6AGW87?2A|)! zbF54a7LS0dcUCnyYET?G_EA>{)zA6TT@C(-5aktHpdYH+>vAGY;-bP`8Xu$I;^j=a zkBMczHGj^3c3Yg2Q^XDNXZ5i0=L0I%7%xZA78y#iO)Gh5$*pOlu-#hE!Qh`=ia(p) zw^m0wAA)~&;j!s>_B3tG+1A*;!$A^=L9g(qgKj5*bhmd*`(#4= za@6!I-F5ctIHKn-mNngNKL`I@EF-A_xQc&pMtsuNkB)By|14dfouVHHf^t~4{Hql< z_-8uhR@@9mcj~Pl`b#C$UOaBaqGtG!q5X@Tx`)?u1o$)FwNqEBggrU6(TVe0 zDBHCCMNV@gy8M$>pXAhbQH*SA-mxm`YOkRrr@?pt0-|KzSoKLxoq>Z_LgCgtu2R1x z2bvqC%BZytaTUpKEF8|n+UmcJKA0#UyKC%j1*H1Lejgb=INWY-+V;tQDLr_R`4lF&nCVi!~Ec=0V%16;0l4JGG=*g{6_H4 zZ4FRpAOYaFoPdA1ys0+)dXOwahwYgknJwPzWQsa*BszGplchz2fA$OGMrX`5y$Kj2 z&&?8hl+deoMFDxDW;_%^zu-mD_BvyZVK8^KMWUl>`XZRZ{Es7L`EO2XmZa<}HOx+E ztMaf)Mr0$K)!FUxAbpQ2*K_*{Pr@m zlNMlI?Gamof0lLJWc6T!J%^hNjyP+26bse4qv&Tsq7GPL0zm>mMn;Cb$RD$Oj3oCi z??Ywb99YO64=t2%WOkY@oqDRL!-`oD6_9 zkAkD-Dwvw52@Q=k z#qIUz4KrVSNn|&?{#-cAMq0-C&nQ6`PP~841LY(|ASvwdSa7_+YH~E~2xkQafqn+4 zfcHuc-V3N`W$0*Lxvx`~BnyxpE2|z_7)|)#{vj5Mac(^DeecvFFT{+k#mMTFiq3h)_^L zu`c-MwiJI`mQ8w9m<0nFGNQCO(7g5Z`EUaNM?{b?J5tHs+c79?>EROrJkQxic5%pv z-m2JFkR_;B<+*OJ<9byJJ5%;Xm8w_l6tGIbOe93dLJzvk!mOkmbiyQL4M9WNLVw3m zzkT8aP8DTc+<~X#Byw07L(cYQ&hJHBp;$v@lMUs0vs#80Qn(D3(Q{TBW=fsMxCH-f zoF65=uWaG7edvKYzIjBeK)D+z^Sl$Fgn2+xhP z!%$u;uU7&^ryF}xhad$(m`=$49 zhgn~7Q7?q1FjDpzY3&wVD%v;+u)yYADr~_}y)ED&o7zkZ6*gRwwI$-n^#$L0PEb%U z_`Kx;� z;lQ`B3UzJ9W@PG0i%XlSMvu>W|)7`$mqBY8C-25r#B)j{Q1SHA|t3n8|X zH2d((I!k#Rr98}GdXhCEx|tr#R-%U=|d;OE<*Y2cp+CwFqqow@J1lSbWjq2 zTX1CyvJT8wGgB3Sm*DM4u(~>^Jo77u08mJJnf{wr)#ilZ%ZO|Pg0y13sL46baJn-U zXibCHnDoXv<=Ks#`?HZ!h*nz36cA_${<-zr4JRWi3(i>c<;G_Z>li+)3m6Js)F?lC zM%kT1@(rxBs*UeZj6JP_f98}Nk}rnU$Bn*9nt!=@mfh36ra0PfHFF$6I>)|gjC=n; zI+ZF2{@GI{LPizX=q>W<+)K%X|GPpYu=5~ZY$9#CrxmhLu@AxDYSuz$N#j_Mq4}w( zPF~{pF$+^b2(|`b9Np;~RjtR|tQ+S)v$En)U?3vY@Hp}yD<#jC24aET!_vI36^-(+#^WZc31N@VGFCa1poYlW-b)j*IZ!fCHUu!8(>qmnoFpp zyUwiE_hO+Z@Z0js5mj5QiYu!TXOaxz7X_0OUb^Qw3@SHClqx{mwPFnZ`HqQ-Cfz!u zLcD7ZllirhN}nx24!(8W-4le3dKG{35N1<5HRJqe&vSV%K%DCPyAG6T1I7#1mi0KB z?I8vVYXh}@@RS^8MwQ*eNfg=i8UeRy-(^1H3 zg$3ODIr!%zM|k%#c6>ZJfDg;29f_L zYdzsX$(8+gbyx=>>J+9~oq{4`d!W9nb554vpEo+YV|o|e0^hYf=lticadeF3Ex{4O zyrd5_>kED+`Uv~ka6Q~W3JhK&pj@<3NB{Y{aYOrAzdOK3R*x7{)V8mnpoyAt7~3DU z8E=FkiO5Svp@tS<>%Wu1zJ6_8?XXTa#H=GT3hIb~uoip{4%s6B4;BM^G~~3(4gT5A zu~khQES=A)xe=vlsJ$j^- z0c09-!K^=Zm<8Ysf7tjjDSd^`ZBX!=>_=VWvVh2uP#rI7+zmu{kE7}#P<5WnGR}X7 zP2t4HL%G`neizghe1~LU5$p?_l!)%5Q8jEq$MMH0E9xzU{Sh8>fh3}9Y>=fHmewAz z1*l2v!y!Dsu#Xt?Qjj^^Z3@dv*%xb<&D7xzENd<;Jt*pDzhuW~_#4ZdV7`GfXZHh3 zcdI%2nSER_d${U>k=_ca%vrNQE!S`-Kj>hv`FmfR8^KZm8(RzfkNpo7KWanrLNAt< zn;Gi3LU(iU2W{w3(h2bQI<`Udcec=xZ8_%v97rOM+HT+ESb>EL5DRj~(%N+Q@6M%= z6;zbCj+P=YWzEZ|Ekn$xiJr}E_v`q+Q1=YZAj1nkFrp}=q#fTMZX+=GXQMhfy!iB9 z=&VfA>9%BGCS-70G=b5?G|~bBkWSN@ENtrw01t)P@8W<+b7qNAX;jzwIlixp(!5YQ ztQ&<42FYvEIu2AnT=#c#kd%e9^kV)R@Xb6-4rq{tY}8JH1(Sio>~m)`3nhu5@Z%BZ zkyOQ2dmWMqN}R$Lkhy@J*yv`i6t#tXM7SVlDVsm|XFMw0sH&MlKs*VM4I#qh<*d;m zqI}J?qDFR|LN0OmW+AeYp#&;aw-vBwmcmP77SKz9MSwbz*WB>CI^Jyw{@D%!tq#hG z8_dmy4Nf3P{WCoZv@JGTbCEg2i_oGnW|=GdUqx`iBG(;OjV2@Xvd3Ol?Xa z_fND0)+rgBicHNd7ZD=jWSrXeg zwctSPTIdNI{PSCfJU>#z@~(w8)q;Nx%P{4CwBTscLY>qqL?CsZ==BtMF5bT}*cXHSr3 zU<9sZ;Q{TI6##m(?Yz!dSlD)lN$6<7A`7X=eaITgPg1o>!lF6y4C}N<(M*DZZ~!%_ zA%%JA=R(ht0ib3gZXD~4J*vpdbD`sP*gVsSeJ)iq&togs%x1*3eB7pJSe=xkcPRvO%yc=lhI*XA#2wXIx{*xqDu|j8&x}+>w%y%Qo z2`m}DqRZRf0RO`u&B`jxGA$Ph>Xb^+(TeJdpC$O`t)PXRwAINhK2%i4VG)qB=FeJr zK-<*X-H_9%)!?65$~Kn4V^aUXhXJ}BQ8+^W+LaFenZ1W(WUxVLflceUzE4)J=YcE$ z_YZPNe0j&gKU?Su;N4edC>4wj9tj%T!o8yL!9RC%f3;AjCL-eWubca|1v=Zw@!+31 zt1|qw@MZw2mE;Jootp^$x%s&j?t!5o(OE(5G4Rh62)%}`nU7kHssOheV0dr#bMViZu$XE-5GCVa;5O;$h^fj)b?~g=W+vF8F_`hA!)&2&My?z=EwyWoe#WmqL1*i^ zJqfm27Z5Bg>el=V2}qnI*lL}wvye)ouq2fH`nr+etpY|9D(Dpa^NKSN64V(xE_BDY znpJi`xWGeAf~{_X6#Z^0}Cih7NwR29(p6eN~pQlLDcc6c_YDV z3t66x$)w}_+~F(~4mAJ4h3q7flOHt|Dh-%kS0@w4_isRrAh!Xh_P5c&O4JKxobKo~mG(QGPV zcjj!I;Xat!t)soeDAQC2#}w7i+FSFF^PeTVYv+AP;U{j zt$h=o=EYwxI%s~gy`~(xR^reY0mWzav-_G+x^Fl3bl}VgcaNZTj<_>Y_IZ}8H!TD_ zU1krk0sgQv4Bv0$6j>eTucQA+P*GOci?kBRVK7;Muo7-w0Hs#pbH`*1!*aZeRwh51 zBH%}FFTT2Pj;V%4SsheAAFrOJTt2MOqPlt3d?ssopC_{f|9mmZ0p0N?NGD#@+TWjP zt!h?Asnrb>j2t(08r_AI#elV*7w_w?Rh1VV@?W9s#$sVORqKXmFWadga^4Zq#vx4YB;Jq730G?1m3#G;;udD+0 zGEV4Ce}rBhs%w`+xb zeJPohF{b89D(^Z*_Tsyhipg-l8ihC3c|!EAR&%p{E(c*X(!9w7G4`7WyAvS|?jtIV zNJ@E{KwBy6(?4Y5I%>7mN`P|k9EDrTL{>y5Tm^RI6tWr|EQL3jKQH`4Xl{`A>O?TT81f3Aq%TdnBlwOgs}8|0U31n)cO$kqx82wSP- z3(#*0f0{fj7hA2;UYT@{_fc=4+x8tJw7;L_kLI)ToGHJK2Y$?QQg($5@sKuD!LC*& z!H?+WCUwg5K6>e)?j8h%Fr778a*tKXhH?}OR})8_!Ra=99_e>v{Ot19dIQ^mzaGI4 zJ4yeQrQ=l2;~M;PFOIV5+prR#GC*SG(QAcJF>BO!p>MVk90H%fwcW!lC0$8jw5Hco z1@jf!u}6aM=gghZT$W7m&mPoB@3}Nqa3}AZb|$TZ)0Rx|&+6lDGOaLmnqGk`y#NLO zoF-i$sTts@mq~GvGw%(v&`Mnpvl8%SP1FF~Y5liWkg_^+kEB0^_f4v!lKG(K=6`L# zL0m`SaZ@KNOh1_Tt_cD=T{hA2`?iu^G{CJS_}ESlVRJyZcs9D-zQt%v-MxwHSw zxo-tqU4wsq`w_qL?KAfM#=6KeHGvX+F=O6Zu{8~?ZMc=^o}gF<&)?R>ia!T>8O9?Z zn|p*+rHU- zO9H*i#an}aP9i`AwW7I0-U}%gXI_xGHRnG!8A#jZw-#DI2X46OirtyHd-c#3WAM)l zb5J_tV^!yjXrdZ0RPbSj*T$KWvW}iRBgjCKU>>CSu(Ms?w(j9wgMVh20fG@v%-z8V zfH8sxkX>DDFbK5?Zq516)^fYeoO_6T9LIxQvk}GurLVz1Z*XQ~qx?7`C*xHOTQM0! z9_S5iWjYpT46_g&Vl*W&8eNgv)VT)#?7?7gB!fc5*$zQju9?s-&})#-q(Wk6Alu#V4l z?S%&|@#g?M>H*?NT-68a0#p%6 z4gNVwoTW$wBl|oahQsDeHo;bQu$7CKNk~u{tP#3+?vLfO*WjP6nVuvzW6j70 zv9RyL1<_vz{+Yrrlgo&uW+q!}?W9|eSGLbgJ%YhM>xS|(VF3JGLgF5@%O!>AHBQV! zU$E)*N0*Z{<$Jl-a~^&Uk@7j!3VICiZQOiKQk~0 z4K}o~W(SBq+;IQ7T#z3-rXsAy*47}tS+hGw{t!mZf1J`h)`bOXl`Q1g3@sN9bhD#N=5 z|NIu?$HuqtzJ(T~;Ge@X+^e@5wbHAFx-N(FUAu#JXdmJN@L`g^no8q44m_Atf@`o1rBewOBsPZNbGrVWK+Jk+Hvuk^_2)qV<#BGU@CIei@B z%T)k3lVSH=Wq8-%pAQdqjXDRjtB)1vV?MU)G4Rh$&hj?FL`2!V4R_P5{p@gmMq3B2 zaXeUOhOpa|!rK(Gb95>yKx5X`mQL5Xmt4h`4)P^CmRNd|fh4Sp5>`R7&s6Mrneo9t zyO}ya#Bk+(?M`f!TR@<7;GeOJNlA+7;h;oVS-TQR_AzKUIc4(?{Iku;URuy1=t5}? z{8vE*)^YyxFNM%@Jm=mT$g1Ty_-AXA&#;ew-`fIpvGo;Oi=w_sEb;{#8#q;*8}az3 zs5&UxblP?mC0=v>Gpq+WMIq&Zt!WyC?vdghbClo4wy#z3W=tFa7)8NAGn5TI#i9RL zk;k+O{+WrNnplusl+oG9GX@$P$PsB0bJf9^b=^FB^+*&ep5L`X-qZn{;Gd!S$8JPV z?4-lonNBjX1||4sxIx^RFZnm54~vjkKkTFvY!WXMBKT+EhQh|VLj}|bi@bb4VRHh- zMvZ|SZ<>{7_5gixSX@w9x06vT7I@agPCv9_4F0+A0ac{9V8ggDdS%fkOuLCEu z2LF6wXmWb6&705w%i-nlhF&<~l5FJYB#*XAV0oFl_|X)8BKKz
7;Fx=3r1mSu6e7Ie_!au*)sf5Iu zX65pUB13yaLmQ!FTRWsc??yB4!MR{PR$=AYV_4ZeEs}}fNWOT|2a56t#z)%Qa6KE} z*gAsF5dE#CYx80+L9^iJR?W(@2q(Nx&hHkNhLx*v0`dlkg%1(BsKWY#rh`SWyV-(c zeF%=gXK2X*R#>&|;)Qb$jxIr8ULw6K11J=yPd)WReM6$D(P~daR($d+1Lp zfbRv1T7!S~VmB=>PkYJP#1xEr-~ef4355TknR$**>+C>vq2wfP=bGkIdPX@*C{9=9LVnE7s#;M<$CHVV5(+WX|Z`od!f zERgRI8qU{y)!DP;EhxPI(K|Az;K7CfqT&?R$*Kj2iNqYl@!SfKoADq9xWuL$P%dIH zo6pL#VtM3t3^}3(GWB&v`t+}q?_PlPaX12(AJA@|gv0UUbd2UbTF+ZyLkWNI&n+~*!UxtqPWG>97P+qB4*uB$90i!#3L#Nu_DZ)bE55abY7PDw z1D4tr{f`4}J45vS^N(^nJ z71P>C1ymTT^Eccp_MW>1ySUxR;U4Q8Vo4|vUsVhJZW!YHR0+1WM% zjXdiCi;S8$nKX7CrI7%vy!rOvZktEPpAmbP2{dwyCjh|Ee#MsHS_q;ks0dzLM4hh; zFr7HD5svBAwLL{J^F_w;4Kv41C%`X011!ZXyR~f<|K1*jlkXyw!*~zzku<0@zSn z7}r}o8n+?1A9bN_&%Qe_oFM90!c2WnNl|`X*IUd*D&k91>IV3;E{4tw0OIR@?f(zA C27EsN literal 0 HcmV?d00001